дипломы,диссертации,курсовые,контрольные,рефераты,отчеты на заказ

Технологические процессы микроэлектроники
Кафедра КИПР
Романовский М.Н.
Томск-2002

Подложки интегральных микросхем.

№ 1
Слиток кремния выращен методом Чохральского. Ось роста соответствует кристаллографическому направлению [111]. Пластины ориентации (111), вырезанные из этого слитка, будут иметь форму:
• круга.

№ 2
Диффузионная длина неосновных носителей заряда в слитке кремния марки 1А5б КДБ 7,5/0,1-76:
• 0.1 мм.

№ 3
Диаметр слитка кремния марки 1А5б КДБ 7,5/0,1-76:
• 76 мм.

№ 4
В монокристалле кремния кристаллографические плоскости (110) и (100) расположены под углом:
• 90 градусов.

№ 5
Толщина нарушенного приповерхностного слоя монокристаллических пластин максимальна после технологической операции:
• резки.

№ 6
Растворы для механической полировки кремниевых пластин могут содержать:
• абразив.

№ 7
В приповерхностном нарушенном слое пластин после механической обработки выявляются следующие четыре зоны:
Приповерхностный нарушенный слой пластин после механической обработки
Зона трещин и выколов (трещиноватый слой):
• h2.

№ 8
При механической полировке пластин арсенида галлия скорость вращения полировальника обычно составляет:
• 30 мин-1

№ 9
Под воздействием селективного травителя на поверхности кремниевой пластины выявлены симметричные фигуры травления в виде четырехгранных пирамид. Кристаллографическая ориентация поверхности пластины:
• 100.

№ 10
Для легирования слитка кремния марки 1А5б КДБ 7,5/0,1-76 использован элемент:
• Бор.

Очистка и травление подложек.

№ 11
Не могут быть удалены в результате отмывки пластины в органических растворителях:
• остатки органических растворителей,
• оксидные пленки,
• ионы металлов.

№ 12
Окисляющее разложение органических примесей на поверхности пластины обеспечивает:
• перекись водорода Н2О2.

№ 13
Степень очистки воды контролируют по ее удельному электросопротивлению. Удельное сопротивление от 100 до 200 кОм*см характерно для воды:
• дистиллированной.

№ 14
При серийном производстве ИМС широко используются следующие виды очистки поверхности пластин:
1) ультразвуковая,
2) в парах органических растворителей,
3) в объеме реактива,
4) струйная.
Эти методы применимы не только для физического удаления загрязнений, но и для химического травления поверхности подложек.

№ 15
При травлении кремния в смеси плавиковой и азотной кислот одновременно протекают реакции:
1) образования оксида кремния,
2) выделения водорода,
3) перевода оксида кремния в кремнийфтористоводородную кислоту,
4) восстановления азотной кислоты,
5) образования гидридов кремния.
Реакции 1,3 могут ограничивать скорость анизотропного травления.

№ 16
Толщина слоя травителя, через который идет диффузия окислителя в процессе жидкостного химического травления кремния, уменьшилась. При этом степень сглаживание поверхности кремния после химического травления:
• увеличится.

№ 17
Основными этапами (стадиями) процесса газового травления пластин кремния в парах хлористого водорода являются:
1) диффузия хлористого водорода из газового потока к поверхности пластины;
2) химическая реакция на поверхности кремния;
3) диффузия продуктов реакции с поверхности пластины в газовый поток.
Слабо зависит от скорости газового потока 2 стадия.

№ 18
Скорость травления кремния в 5 % -ной смеси НС1 с Н2 при 120 °С зависит от кристаллографической ориентации поверхности и для плоскостей (111), (110) и (100) составляют соответственно 1.48, 3.0 и 3.4 мкм/мин. Процесс травления лимитируется:
• химической реакцией на поверхности кремния.

№ 19
Недостатками метода селективного ионно-плазменного травления по сравнению с жидкостными методами являются:
• сложное оборудование;
• необходимость тщательной очистки газов от примесей.

№ 20
Преимуществами метода селективного ионно-плазменного травления по сравнению с жидкостными методами являются:
• высокая чистота поверхности пластин;
• отсутствие бокового подтравливания при создании локальных углублений.

Проводящие слои.

№ 21
Полупроводник легирован акцепторами. Обозначим φм и φп электрохимические потенциалы металла и полупроводника, соответственно. Для создания не инжектирующего контакта, обладающего малым электрическим сопротивлением, необходимо выполнить условия:
• φм > φп.

№ 22,23
Для изготовления трехслойных (многослойной) проводников тонкопленочных гибридных ИМС используют следующие материалы: 1) золото, 2) медь, 3) алюминий, 4) тантал, 5) нихром, 6) хром, 7) ванадий, 8) никель, 9) серебро и др.
• Для верхнего слоя трехслойной структуры применяют материалы 8,9; для нижнего слоя многослойной структуры материалы 5,6,7.

№ 24
При термовакуумном испарении металлов степень влияния остаточных газов на структуру и состав образующейся пленки с повышением скорости осаждения:
• уменьшается.

№ 25
Материалы, используемые для тонкопленочных резисторов, можно разделить на три группы:
1) металлы;
2) металлические сплавы;
3) керметы.
Удельным поверхностным сопротивлением 3000 Ом/м и более, характеризуются керметы.

№ 26
При получении пленок металлов термовакуумным испарением повышению равномерности пленок по толщине способствуют:
• увеличение расстояния от испарителя до подложки;
• увеличение площади испарения.

№ 27
Законы электролиза можно выразить формулами:
1) m = k Q,
2) m = M Q /(F z),
где m – масса вещества, выделившегося на электроде; k и M – соответственно электрохимический эквивалент и молярная масса этого вещества; z – число электронов, участвующих в реакции; Q – электрический заряд, пропущенный через электролит; F – число Фарадея, равное (96484,56 ± 0,27) Кл/моль.

№ 28
Дополнительное легирование тонкого приповерхностного слоя полупроводника при формировании омических контактов (создание n+-n или p+-p-перехода под контактом) обеспечивает:
• повышение линейности вольт - амперной характеристики контакта;
• снижение поверхностного сопротивления полупроводника;
• уменьшение контактного сопротивления. Укажите все правильные ответы.

№ 29
В технологии полупроводниковых ИМС для осаждения пленок вольфрама используются методы:
• ионно-плазменного распыления,
• химического осаждения из газовой фазы.

№ 30
- для осаждения пленок алюминия используются методы:
• электроннолучевого испарения,
• ионно-плазменного распыления.

Полупроводниковые слои: диффузия примесей.

№ 31,32
Технологический процесс формирования базовой области транзистора включает стадии накопления и разгонки акцепторной примеси. После проведения процесса на пластине кремния с исходной концентрацией доноров 1016 см-3 поверхностная концентрация акцепторов составила 2.38*1018 см-3, а глубина залегания коллекторного перехода - 4 мкм.
Количество акцепторов в полупроводнике на единицу площади:
• 3.6*1014 см-2.
Коэффициент диффузии примеси в процессе разгонки, если длительность разгонки составила 90 минут:
• 1.35*10-12 см2/c.

№ 33
При температуре 1400 коэффициент диффузии примеси в полупроводнике составляет 5.3*10-13 см2/c. Энергия активации процесса диффузии равна 3.66 эВ. (Постоянная Больцмана k=8.62*10-5эВ/K). Коэффициент диффузии при 1500 К:
• 4.0*10-16 см2/c.

№ 34
Приповерхностный слой пластины кремния равномерно легирован акцепторами на глубину 0.4 мкм. Концентрация акцепторов в приповерхностном слое равна 3*1018 см-3. Поверхность пластины является отражающей, т.е. непроницаемой для вещества. Поверхностная концентрация акцепторов после термической обработки пластины в течение 0,5 часа, если коэффициент диффузии акцепторов в полупроводнике при температуре обработки составит 2.4*10-12 см2/c:
• 1.03*1018 см-3.

№ 35
Приповерхностный слой пластины кремния с исходной концентрацией доноров 5*1015 см-3 равномерно легирован акцепторами на глубину 0.4 мкм. Концентрация акцепторов в приповерхностном слое равна 3*1018 см-3. Глубина залегания p-n перехода после термической обработки пластины в течение 0,5 часа, если коэффициент диффузии акцепторов в полупроводнике при температуре обработки составит 2.4*10-12 см2/c:
• 3.03 мкм

№ 36
Количество акцепторов, накопленных в пластине полупроводника при диффузии из неограниченного источника с поверхностной концентрацией примеси 1020 см-3 в течение 12 мин:
• 6.37*1019 см-2.

№ 37
Технологический процесс формирования базовой области транзистора включает стадии накопления и разгонки акцепторной примеси в полупроводнике с исходной концентрацией доноров 5*1015 см-3. На первой стадии в пластину введено 3.9*1015 см-2 акцепторов. Глубина залегания коллекторного перехода после разгонки примеси в течение 0,5 часа:
• 3.9 мкм.

№ 38
Загонка примеси в полупроводник проведена из неограниченного источника с поверхностной концентрацией 2*1020 см-3. Длительность загонки, если в результате ее проведения в пластине оказалось накоплено 2*1015 см-2 акцепторов:
• 33 сек.

№ 39
Загонка примеси в полупроводник проведена в течении 1 минуты из неограниченного источника с поверхностной концентрацией 2.5*1020 см-3. В результате ее проведения в пластине оказалось накоплено 8*1015 см-2 акцепторов. Коэффициент диффузии примеси:
• 1.34*10-11 см2/c.

№ 40
Технологический процесс формирования базовой области транзистора включает стадии накопления и разгонки акцепторной примеси. После проведения процесса на пластине кремния с исходной концентрацией доноров 5*1015 см-3 поверхностная концентрация акцепторов составила 2.4*1018 см-3, а глубина залегания коллекторного перехода - 5 мкм. Длительность процесса разгонки, если коэффициент диффузии примеси в условиях ее проведения составил 2.4*10-12 см2/c:
• 70 мин.

Полупроводниковые слои: ионное легирование.

№ 41
Внедряемые в полупроводник ионы примеси теряют избыточную энергию в результате:
• упругих столкновений с ядрами атомов мишени,
• неупругого взаимодействия с электронами мишени.

№ 42
При ионном легировании полупроводника ядерная тормозная способность мишени характеризует потери энергии ионом примеси:
• за время, в течении которого ион продвигается в мишень с единичной плотностью на единичное расстояние.

№ 43
На поверхность полупроводника направлен пучок ионизованных атомов примеси. Ядерное торможение ионов в мишени существенно превышает электронное:
• при низких энергиях внедряемых ионов.

№ 44
- Доза облучения Д мишени связана с током пучка J, площадью его сечения А, зарядом иона z и временем внедрения t следующей зависимостью:
• Д = Jt / zА.

№ 45
- С увеличением дозы облучения максимум концентрации ионов:
• не смещается.

№ 46
При ионном легировании полупроводника эффект каналирования может приводить к изменениям распределения примеси по координате:
• появлению второго максимума,
• затягиванию ниспадающего участка после максимума.

№ 47
- эффект каналирования можно исключить или ослабить:
• разориентацией подложки относительно основных кристаллографических плоскостей,
• нанесением на поверхность подложки аморфного слоя,
• изменением угла падения пучка по отношению к главным кристаллографическим направлениям подложки.

№ 48
При ионной имплантации донорной примеси в p - полупроводник коэффициент использования fД вводимой примеси определяется следующим образом:
• fД=(nn+NA) / NД,
где pp – средняя концентрация дырок в инверсионном слое; pn – концентрация дырок в исходном кремнии; NД - концентрация доноров в исходном кремнии; NA – средняя концентрация введенных атомов акцепторной примеси.

№ 49
При ионном легировании полупроводника профиль (характер) распределения внедренной примеси можно регулировать:
• энергией ионов.

№ 50
- максимальную концентрацию внедренной примеси можно регулировать:
• дозой облучения,
• плотностью тока пучка ионов,
• временем легирования.

Полупроводниковые слои: эпитаксиальное наращивание.

№ 51
Газовая система установки для автоэпитаксии кремния хлоридным методом обеспечивает подачу в реакционную камеру водорода Н2, азота N2, их смеси и хлористого водорода НС1. В газовую систему включены барботеры, содержащие тетрахлорид кремния и галогениды тех примесей, которые должны быть введены в эпитаксиальную пленку в процессе роста. Подача азота обусловлена необходимостью:
• удаления воздуха из газовой системы и реакционной камеры,
• обеспечения безопасного функционирования установки.

№ 52
Зависимость скорости эпитаксиального наращивания кремния от концентрации SiCl4 в газовом потоке обнаруживает максимум. Такой характер рассматриваемой зависимости обусловлен:
1) обратимостью реакции восстановления SiCl4 водородом,
2) возможностью протекания реакции диспропорционирования SiCl4,
3) наращиванием слоя кремния и его подтравливанием одновременно,
4) повышением концентрации НС1 в газовой фазе.

№ 53
Химические реакции, происходящие при газофазной эпитаксии, относятся к гетерогенным и, соответственно, включают этапы:
1) переноса реагентов к поверхности,
2) адсорбции реагентов,
3) собственно химической реакции,
4) присоединения атомов полупроводника к подложке,
5) десорбции продуктов реакции,
6) отвода продуктов реакции.
Этапы (стадии) 2,3,4,5 слабо зависят от скорости газового потока.

№ 54
В стандартном процессе газофазной эпитаксии GaAs с использованием металлоорганических соединений источником Аs служит:
• АsH3.

№ 55
Недостатки хлоридного процесса автоэпитаксии кремния по сравнению с гидридным обусловлены:
1) процессами диффузии примесей,
2) процессами автолегирования,
3) высокими температурами процесса,
4) травлением подложки в результате взаимодействия с НС1,
5) травлением подложки в результате реакции диспропорционирования SiCl4,
6) переходом примеси в газовую фазу с последующим внедрением из газовой фазы в растущий слой.

№ 56
При молекулярно-лучевой эпитаксии кремния легировать растущий слой можно:
• испарением легирующего материала из дополнительного источника,
• переносом примеси из легированного кремниевого источника,
• имплантацией медленных ионов (400 – 800 эВ) в процессе роста.

№ 57
Качество полученных структур при молекулярно-лучевой эпитаксии ограничивают следующие факторы:
• глубина и чистота вакуума,
• производительность откачной системы установки,
• состояние стенок вакуумной камеры.

№ 58
Хлоридно-гидридная модификация газофазной эпитаксии GaAs предполагает использование в качестве источника мышьяка:
• АsH3.

№ 59
Для получения многослойных эпитаксиальных структур с атомной гладкостью границ, прецизионно заданными толщинами слоев, их составом и профилем легирования лучшим методом считается:
• молекулярно-лучевой.

№ 60
Работа с моносиланом кремния требует особых мер безопасности, так как он самовоспламеняется при соприкосновении с воздухом. Эту способность самовоспламеняться моносилан утрачивает при разбавлении водородом, если его объемная концентрация в водороде не превышает:
• 4 %.

Диэлектрические слои.

№ 61
Материал подложки не принимает непосредственного участия в формировании диэлектрических слоев при использовании методов:
• осаждения из парогазовых смесей;
• вакуумного термического испарения;
• ионно-плазменного распыления.

№ 62
Процесс формирования слоя SiO2 термическим окислением Si включает:
1) диффузию кислорода (или др. окислителя) из потока газа к поверхности пластины;
2) диффузию окислителя через уже выросший слой SiO2;
3) химическую реакцию на границе раздела SiO2–Si.
3 стадия наиболее сильно зависит от температуры.

№ 63
Скорость термического окисления кремниевой подложки с увеличением концентрации в растущем слое SiO2 ионов натрия:
• увеличивается.

№ 64
Меньшей предельной концентрацией в SiO2 при термическом окислении кремния характеризуются:
• кислород.

№ 65
Наибольшая скорость роста пленок SiO2 при термическом окислении кремния достигается при использовании в качестве окислителя:
• паров воды.

№ 66
Слои оксида алюминия получают:
• анодным окислением алюминия,
• осаждением из металлоорганических соединений,
• термовакуумным реактивным испарением.

№ 67
В технологии ИМС для получения пленок диоксида кремния применимы:
• термическое окисление кремния;
• осаждение из парогазовых смесей;
• ионно-плазменное распыление.

№ 68
Снижение давления в реакционной зоне при получении диэлектрических пленок с использованием реакции типа пиролиза, окисления или гидролиза обеспечивает:
• улучшение газодинамической обстановки на поверхностях пластин;
• увеличение длины свободного пробега частиц газов;
• повышение загрузки установок;
• возможность проведения процесса без использования газа-носителя;
• повышение производительности установок. Укажите все правильные ответы.

№ 69
Материал подложки не принимает непосредственного участия в формировании диэлектрических слоев при использовании методов:
• осаждения из парогазовых смесей;
• вакуумного термического испарения;
• ионно-плазменного распыления.

№ 70
Скорость окисления кремния при 700 – 800 °С с увеличением степени легирования его фосфором:
• увеличивается.

Формирование рисунка слоев.

№ 71
Контактные маски используют для получения рисунка в слоях, создаваемых методом:
• термического испарения в вакууме,
• анодирования в электролите,
• термодиффузии.

№ 72
Оптически плотные слои фотошаблонов, выполненные из пленок хрома, предпочтительнее эмульсионных по следующим параметрам:
1) стойкость к истиранию;
2) минимально возможная толщина;
3) адгезия к стеклу;
4) теплостойкость;
5) устойчивость к воздействию органических растворителей;
6) четкость изображения края рисунка;
7) разрешающая способность.

№ 73
Повышению адгезии фоторезиста к пленкам двуокиси кремния способствуют:
1) комбинированный способ получения пленок SiO2 – в сухом, влажном, а затем вновь в сухом кислороде; 2) нанесение фоторезиста сразу после окисления (без каких-либо дополнительных обработок);
3) дополнительная термообработка пленок SiO2 в сухом кислороде или азоте при Т = 1000 °С в течение нескольких минут.

№ 74
При проведении фотолитографии минимальный размер элемента аmin рельефа и толщина слоя фоторезиста h в определенном приближении связаны соотношением:
• h < (0.2÷0.3) аmin.

№ 75
При проведении фотолитографии минимальная толщина слоя фоторезиста определяется:
• допустимой плотностью проколов.

№ 76
Для нанесения толстых (от 2,5 до 20 мкм) слоев фоторезиста на подложку используют следующие способы:
• пульверизация;
• погружение в раствор;
• полив.

№ 77
Удаление растворителя из нанесенного на подложку позитивного фоторезиста осуществляется в результате выполнения операции:
• сушка фоторезиста.

№ 78
Дифракционные явления ограничивают разрешающую способность:
• контактной фотолитографии.

№ 79
С повышением разрешающей способности электроннолучевой литографии время экспонирования:
• увеличивается.

№ 80
Формирование рельефа слоев без применения фотошаблонов возможно методами:
• сканирующей электронолитографии;
• электроннолучевой литографии.

Сборка ИМС.

№ 81
При разделении пластин на кристаллы наименьшие число и размеры микротрещин и сколов обеспечивает метод:
• локального химического травления.

№ 82
Скрайбирование и последующее разламывание пластин на кристаллы дают худшие результаты по сравнению с процессами резки в отношении:
• получения более ровного края кристаллов;
• получения края кристаллов с меньшими сколами и трещинами.

№ 83
Разделение пластин на кристаллы локальным химическим травлением дает лучшие результаты по сравнению со скрайбированием и последующим разламыванием в отношении:
• получения более ровного края кристаллов;
• получения края кристаллов со значительно меньшими сколами и трещинами.

№ 84
В устройствах для пайки электрических соединений используют следующие механизмы подвода тепла к соединяемым деталям:
• излучение,
• теплопроводность,
• конвекция,
• резистивный нагрев с помощью расщепленного электрода,
• резистивный нагрев с помощью сдвоенного электрода.

№ 85
Столбчатые и сферические внутренние выводы кристаллов (для монтажа групповыми методами) изготавливаются из:
• мягких припоев,
• золота,
• меди с золотым покрытием,
• меди с покрытием из мягких припоев,
• меди (без покрытия).

№ 86
Тестирование кристаллов ИМС непосредственно после монтажа на ленточный носитель возможно при использовании лент:
• двухслойных,
• трехслойных.

№ 87
Двухслойную ленту для групповых методов монтажа кристаллов изготовляют:
• нанесением слоя полиимида методом полива на медную фольгу;
• электрохимическим осаждением меди на полиимидную основу;
• нанесением слоя полиимида трафаретной печатью на медную фольгу.

№ 88
Автоматизированный монтаж «кадров» ленточного носителя с кристаллами возможен:
• на металлические выводные рамки пластмассовых корпусов;
• непосредственно на печатные платы;
• на подложки гибридных ИМС.

№ 89
Для получения защитных пленок на поверхности кристаллов спеканием фритты размер частиц стекла должен быть не более:
• 1÷1.5 мкм.

№ 90
Очистку поверхности кристаллов производят:
• перед их монтажом,
• перед герметизирующим покрытием поверхности,
• перед окончательной герметизацией крышки корпуса.

Интеграция технологических процессов.

№ 91
Кремниевая подложка ориентирована по плоскости (100). Окна в маскирующей пленке на поверхности подложки предназначены для селективного травления V-образных канавок в кремнии и ориентированы по направлению [110]. Глубина V-образных канавок в кремнии при ширине окон в маскирующей пленке 6,0 мкм:
• 4.24

№ 92
Быстродействие МОП - транзистора определяется:
• постоянной времени заряда емкости затвора через сопротивление канала;
• временем пролета основных носителей заряда через канал.

№ 93
Согласно теории пропорциональной микроминиатюризации, с уменьшением площади прибора в М раз и одновременным увеличением концентрации легирующих примесей в подложке в М раз произойдет уменьшение рассеиваемой мощности (пропорциональной напряжению и току питания) приблизительно:
• в М² раз.

№ 94
- также в М раз основной параметр качества ИМС - произведение мощности на время задержки сигнала - уменьшится:
• в М³ раз.

№ 95
Базовый технологический процесс изготовления МОП-ИМС включает пять операций фотолитографии. Первая фотолитография определяет:
• размеры области стока и истока, длину канала.

№ 96
Третья фотолитография определяет:
• площадь контакта к области стока и истока.

№ 97
Емкости перекрытия затвора с областями стока и истока определяет фотолитография:
• вторая.

№ 98
Плотность упаковки элементов биполярных ИМС, сравнимую с плотностью в МОП-ИМС, обеспечивает:
• изопланарная технология.

№ 99
При выборе метода и материала изоляции элементов ИМС следует учитывать:
• конкретные функции, выполняемые микросхемой;
• степень оснащенности оборудованием;
• уровень отработки на данном предприятии технологических процессов, необходимых для выполнения того или иного метода изоляции.

№ 100
Для изоляции активных областей ИМС на арсениде галлия используются:
• локальное химическое травление;
• формирование меза-структур;
• локальная ионная имплантация.

на главную база по специальностям база по дисциплинам статьи

Другие статьи по теме

 
дипломы,курсовые,рефераты,контрольные,диссертации,отчеты на заказ